HW News - New Intel GPUs Power On, 3nm & 2nm Engineering, Samsung Phone Chip Shortage

By Published February 03, 2021 at 3:40 am

This week, we’re covering Intel’s GPU getting a showcase, the Right to Repair movement gaining an impressive amount of traction among the states for 2021, and new product launches. On the Right to Repair front, several states will be proposing bills aimed at addressing repair laws, and the next few weeks and months will be interesting as these bills potentially get off the ground.

We’ve also got some news on the silicon manufacturing industry at large, discussing the future of GAA FETs as well as Micron’s new DRAM process. Cooler Master recently held its Virtual Showcase, and revealed a couple of noteworthy products worth looking at. 

At GN, we recently took a look at the much requested Scythe Ninja 5 CPU Cooler, and tore down the Atari VCS, which was unexpectedly impressive. We also covered the NZXT H1 fire issue, which resulted in NZXT killing sales of the product.

02:30 | 2021 Set To Be a Busy Year for Right To Repair

As we get into 2021, there’s some 14 states that are putting right to repair legislation on the table, and possibly more to come later in the year. As evidenced by our regular teardown videos, GN is a serious advocate for repairability.

So far, the states that are proposing right to repair bills this year are: New Jersey, Delaware, Florida, Massachusetts, Maryland, Montana, Nebraska, Oklahoma, New Hampshire, New York, Oregon, South Carolina, Vermont, and Washington. In some states, the bills will target both electronics and farm equipment. 

In addition to electronics, agriculture has long been a battleground for repair initiatives, and the pandemic has highlighted more than a few barriers to repair for medical equipment -- like ventilators, for instance. For more information or how to get involved, some of the best resources are Repair.org, the U.S. PIRG (which lists these others as its partners), SecuRepairs, and iFixit. And, as ever, be sure to check out Louis Rossmann and the Rossmann Repair Group

We’ll be following the right to repair activity closely in the coming months, so stay tuned.       

Source: https://uspirg.org/blogs/blog/usp/right-repair-races-2021-14-active-states

04:28 | New Research On GAA FETs For 3nm/2nm

As process technology continues to shrink, the sun will eventually set on FinFET transistors, just as it did with planar transistors some time ago. In anticipation of this, foundries have long been preparing for a move to GAA FETs, or gate-all-around field effect transistors. GAA FETs can possibly take several forms, with different channel materials used in their construction. GAA FETs will become critical for chips as the industry scales sub-3nm. 

While the future beyond 3nm/2nm isn’t very clear, most foundries -- TSMC, Intel, Samsung, etc. -- already have some sort of plan to adopt at least one type of GAA FET over the next few years, and that’s nanosheet FETs. Nanosheet FETs are similar to Nanowire FETs, but use wider wires and a wider channel. The wider channel and wire design somewhat resembles sheets, hence the name. 

As process technology gets smaller, leakage continues to be a problem, and as Semiconductor Engineering points out, transistors at and below 7nm have suffered from increased static leakage. That’s on top of shrinking power and performance improvements. Nanosheet FETs are much different from FinFETs in that they are highly customizable, namely when it comes to the width of the sheets and channel. By making the sheets wider or more narrow, manufacturers can control power, performance, drive current, etc. 

Gate-all-around or GAA transistors, as described by Lam Research to Semiconductor Engineering are “a modified transistor structure where the gate contacts the channel from all sides and enables continued scaling. Early GAA devices will use vertically-stacked nanosheets. They are constructed of separate horizontal sheets, surrounded by gate materials on all sides. This provides improved channel control relative to finFETs.” 

Samsung plans to introduce its trademarked Multi-Bridge Channel FET (MBCFET) at 3nm, with an expected timeline of 2022-2023. Meanwhile, Intel stated last year that it expected to adopt GAA nanowire and nanoribbon transistors within the next five years. Given how much performance and life Intel has been able to squeeze out of FinFETs at 14nm, Intel likely isn’t looking at GAA FETs until at least 5nm, sticking with FinFETs and SuperFin for the intervening 10nm and 7nm processes. 

TSMC will still rely on FinFETs for at least its initial 3nm (N3) process, but TSMC is expected to implement some GAA-based design at 2nm. TSMC has previously been looking into 2D and 1D carbon nanotubes, as well as nanosheet and nanowire FETs. TSMC’s N3 process isn’t coming online until 2022, barring any hiccups, so it’ll be at least 2024 for 2nm.  

Source: https://semiengineering.com/new-transistor-structures-at-3nm-2nm/

08:50 | Rumor: RTX 2060 Resurrection 

According to Igor and his sources, both of which tend to be very reliable within the realm of GPUs, Nvidia may not be done with the RTX 2060. Instead, as Igor puts it, Nvidia may keep it around as something of a stopgap measure. 

This would seemingly serve two purposes. It would give users on older hardware another option that doesn’t cannibalize RTX 30-series sales, assuming the right price. Secondly, it can also be seen as a move to try and mitigate the current supply issues, as theoretically an RTX 2060 shouldn’t be as hot a commodity as literally any of the RTX 30-series cards.

Igor also notes that any rumors suggesting an RTX 2060 Super revival are almost certainly false. Having the RTX 2060 Super exist alongside the RTX 3060 and 3060 Ti just doesn’t make that much sense. Also, Igor states that Nvidia could possibly lump the RTX 2060 into its current consumer product stack on a more permanent basis, but at this point, that is just a rumor.  

GN reached-out to one of its board partner contacts and learned that the particular partner will re-introduce the 2060, but not in “particularly high quantities.” We were told that it’s not the cut-down 2080, but the original 2060 GPU. It’s up to partners how many are ordered, and some might be ordering higher volume, but the one we spoke with won’t be reintroducing the 2060 in large quantities and said it’s relatively low volume compared to their 30-series offerings. The partner also told us that they aren’t sure whether the GPU is being manufactured or whether it’s leftover inventory. We were told that NVIDIA has done this in past cycles as well, mostly boiling-down to asking whether a partner wants leftover quantities. Typically, that answer is “no,” but most of them are taking some of the 2060 GPUs this time to help sate demand.

Source: https://www.igorslab.de/en/turing-reloaded-as-luck-filler-more-board-partners-produce-again-geforce-rtx-2060/

13:09 | Cooler Master: Virtual Showcase 2021

Cooler Master held its Virtual Showcase where it had several announcements and reveals across its product lines. Among the more interesting is Cooler Master’s first in-house PSU design, and a new open-air chassis that could be interesting to look at. 

The most notable product here is Cooler Master’s long-awaited custom PSU, something it has  been working towards for a few years now and the primary reason it established its own power supply platform, which it’s calling the “Thermal Enhanced Platform.” As most enthusiasts likely know, most PSUs are built from a handful of suppliers (see: SuperFlower, Seasonic, HEC, Channel Well Technology, etc.), with only a little room for customization on top. We’ve toured one of these in the past as well, and saw major brands all sharing the same factory floor.

Cooler Master’s press release states that the XG Plus series is entirely designed in-house by Cooler Master’s engineers; although we’re disappointed that they didn’t call it the Cooler Master MasterSupply Power Cooler XG Plus Master, like they do with other products. Cooler Master’s XG Plus series will offer some interesting features, such copper machine screws attaching the back and main boards, as well as machine soldering. Cooler Master is also touting a 50% fanless mode for its PSUs built on its TEP platform. The XG Plus line is expected to launch as we get closer to summer, with prices between $160-$180.

Cooler Master also announced its new fully digital Fanless Platinum series. As the name suggests, these units are aimed at operating in a fanless mode, but they will actually come with an interchangeable fan option. Cooler Master states that these units are still being prototyped, with availability TBD. However, Cooler Master expects prices to range between $180-$190. 

Elsewhere, Cooler Master announced several new cases, and among them is the new open-air MasterFrame 700. The MasterFrame 700 can serve as either a standard open-air chassis, or can be used as a test bench. The MasterFrame 700 also comes with a sheet of panoramic tempered glass that can be attached to the front of the case, and Cooler Master states the chassis can also be wall mounted via a 100mm x 100mm VESA mount.

Cooler Master also announced a slew of new peripherals, monitors, and is also getting into the crowded gaming chair business. Additionally, Cooler Master is refreshing its usual cooling products. The MasterAir MA624 is a new larger tower cooler from Cooler Master, the MA612 is a quieter version, the ML360 sub-zero is finally coming out, and then the rest are mostly liquid coolers.

Source: https://www.overclock3d.net/news/cases_cooling/cooler_master_reveals_its_next-gen_hardware_at_their_2021_virtual_showcase/1

https://www.cmshowcase2021.com/

17:37 | Raja Koduri Teases Xe HPC Power On

Intel’s Raja Koduri, who has been steadily teasing the results of Intel’s GPU efforts on Twitter over the last several months, recently tweeted that Intel had completed the first power-on for Xe HPC. Koduri’s tweet mentions “7 advanced silicon technologies in a single package,” but that’s about all the details offered from Koduri. 

The image itself shows what looks to be two chips (or tiles, according to Intel) in the center of the package, possibly stitched together through Intel’s EMIB (Embedded Multi-die Interconnect Bridge) technology. Flanking the GPUs are 5 smaller chips on each side, some which we expect to be memory, like HBM2. 

The smaller chips towards the corner of the package could be I/O dies, or something else from Intel’s portfolio. Intel’s 3D Foveros packaging allows Intel to essentially mix and match different elements on a single package, so it’s hard to nail down. We assume this is an early sample of Intel’s 7nm Ponte Vecchio silicon, which will power the Aurora supercomputer. So a successful power-on is a key milestone here, and definitely a win Intel needs right now.  

Source: https://twitter.com/Rajaontheedge/status/1354103878426324994

19:07 | Intel Announces First Partner Models For Iris Xe/DG1 dGPU

Also in Intel GPU news are the first partner models for the Iris Xe (or DG1) GPU. Intel only formally announced Asus as a partner so far, but there will presumably be more. Tom’s Hardware mentions another unnamed partner, previously thought to have been Colorful (but isn’t). These cards aren’t coming to retails markets, but instead will be focused towards OEMs for pre-built systems. 

Asus’ model is passively cooled, while Tom’s Hardware has an image from an unnamed partner that opted for a more traditional dual-cooler design. The GPUs come with 80 EUs and 4GB of unspecified memory. They are low-power cards, as evidenced by the lack of PCIe power connectors. Tom’s Hardware points-out the following display outputs: DVI, HDMI, and DisplayPort. 

Furthermore, the cards will only be compatible with certain Intel-based systems -- specifically, 9th-gen and 10th-gen CPUs paired with B460, H410, B365, and H310C chipsets. Intel notes that these systems will also require a special BIOS version. This compatibility will be limited at least for the time that the cards are in early stages, but may expand later.

Source: https://newsroom.intel.com/articles/intel-releases-iris-xe-desktop-graphics-cards/#gs.r845au 

https://www.tomshardware.com/news/intel-dg1-compatibility

20:26 | Micron’s 1α Process Technology 

Micron recently delved into some details regarding its new DRAM process technology, dubbed “1α,” or 1-alpha. According to Micron, users can think of this process as an evolution of its 10nm-class process, where the half-pitch ranges from 10nm to 19nm. 

Micron goes on to detail how its new process is aimed at overcoming the Rayleigh criterion, also known as the diffraction limit. Micron states that while EUV has made some promising progress, it wasn’t the right solution for its latest process. To that end, Micron has deployed other solutions to get around the diffraction limit. 

The first is to use modified patterns on the photomask, which is done through computational lithography. Secondly, Micron explains how it uses water to diffract light. “The second is to take advantage of the fact that water diffracts light less than air and to expose the wafer underwater! This is less dramatic than it sounds. We actually replace the usual air gap between the final lens and the wafer surface with a drop of water. This approach gets us below 40nm — a great improvement and the culmination of a huge cooperative engineering effort, but not all the way home,” says Micron. 

Micron then moved on to multi-patterning to create multiple features, noting how it pioneered double-patterning back in 2007. Micron rounds out its explanation with the steps involved in completing a die and moving onto volume production, as well as talking a bit about the use of AI and other technology in its fabs. It also has an interesting video with Micron’s Thy Tran, the VP of DRAM Process Integration.   

Source: https://www.micron.com/about/blog/2021/january/inside-1a-the-worlds-most-advanced-dram-process-technology

https://www.tomshardware.com/news/micron-1alpha-technology-announcement

22:13 | Samsung Warns of Smartphone Chip Shortage

Samsung notes that the same global chip shortage is likely to hit smartphones next. "Because of the foundry supply shortages that have become an issue globally, the supply issue of other semiconductor parts could affect mobile demand, so we are closely watching the implications," said Han Jinman, executive vice president of Samsung’s memory business (Via ArsTechnica).

According to Ars, Samsung is also looking to create additional capacity where possible. 

Source: https://www.cnbc.com/2021/01/25/taiwan-ministry-says-tsmc-will-prioritize-auto-chips-if-possible.html

https://arstechnica.com/gadgets/2021/01/samsung-says-auto-chip-shortage-could-hit-smartphones/

22:59 | Intel Discloses $475M Investment To Enhance Manufacturing  

Intel recently disclosed that it has invested an additional $475M in Intel Products Vietnam, which is Intel’s largest manufacturing and testing facility, and is located in Saigon Hi-Tech Park, Ho Chi Minh City. Intel notes that this investment is on top of a previous $1B investment to bring IPV more in-line with Intel’s “multi-architecture XPU” strategy. Intel’s total investment to date comes in at around $1.5B, so far. 

The $475M was invested between June 2019 and December 2020, according to Intel. The additional funding will further enhance Intel’s manufacturing ability, and help bolster the facility to address emerging trends in 5G, as well as build Intel’s latest Core processors using various Intel technology.  

Source: https://newsroom.intel.com/news/intel-invests-additional-475-million-vietnam/

Last modified on February 03, 2021 at 3:40 am

We moderate comments on a ~24~48 hour cycle. There will be some delay after submitting a comment.

  VigLink badge