Hardware stub

HW News - NVIDIA Responds to AMD FSR, Windows 11 Backtrack, Used GPUs Hit Market

Posted on July 7, 2021

This week, we have even more news on the ongoing developments regarding Nvidia’s proposed purchase of Arm. This time, however, we’re talking about Arm customers that actually support an Nvidia takeover, as opposed to Arm customers who object to the deal. Other big news includes Intel’s announcements at ISC 2021, where the company offered new details on its upcoming Sapphire Rapids Scalable Xeons and its Ponte Vecchio GPU. 

Other news items include some new details regarding Windows 11, such as Microsoft testing older CPUs for compatibility and hopefully a much improved PC Health Check App in the future. There’s also news from TeamGroup on the DDR5 front, mining GPUs hitting the used market, Samsung’s 3GAA process, and more. 

At GN, we took a deep dive into Noctua’s long awaited fully passive cooler, the Noctua NH-P1, and included our use of Schlieren photography. We also reviewed the Lian Li Odyssey X, and detailed EVGA’s first AMD motherboard and AMD’s Hydra overclocking tool in a special HW News video

01:07 - What GN is Working On

We'll leave this one just to the news video. Check it out for some updates on what's going on!

04:16 - Intel & Apple Get Dibs on TSMC 3nm Process

According to a report from Nikkei Asia, Intel and Apple will be the early adopters for TSMC’s first 3nm process. The report claims that Intel and Apple are already testing and validating their designs with TSMC, and cites sources “briefed on the matter.” It had already been rumored that Apple had snatched up a sizable portion of TSMC’s initial capacity, but this is the first we’re hearing about Intel claiming any.

The report alleges that Apple’s iPad line may be the first to use 3nm silicon, while Intel is working with TSMC on at least two different 3nm products -- one for notebooks, and one for the data center. Perhaps most interesting is that TSMC is reportedly allocating more capacity for Intel than Apple, which would indeed be a surprise, and one we’re a bit reluctant to believe at the moment.

"Currently the chip volume planned for Intel is more than that for Apple's iPad using the 3-nanometer process," said one source to Nikkei Asia. Nikkei Asia reached out to TSMC, Apple, and Intel for comments. Intel only reiterated its partnership with TSMC for its 2023 products, while TSMC declined to comment, as TSMC doesn’t comment on its customers’ products. Apple, for its part, did not respond to the request for a comment.      

Source: https://asia.nikkei.com/Business/Tech/Semiconductors/Apple-and-Intel-become-first-to-adopt-TSMC-s-latest-chip-tech

06:12 - AMD Updates NVIDIA’s DLSS with Adverb

AMD posted its latest update to NVIDIA DLSS: It’s called FSR, and releasing it immediately triggered a response from NVIDIA.

AMD obviously isn’t literally updating DLSS, but the change from NVIDIA is no doubt a response to AMD’s recent FidelityFX Super Resolution, or FSR.

AMD’s FSR followed NVIDIA’s naming scheme and resolution scaling, with Performance, Balanced, and Quality modes equaling NVIDIA’s DLSS scale factors. NVIDIA differentiated itself with Ultra Performance, which is much lower quality and higher performance than AMD’s FSR will stretch to, while AMD differentiated itself with Ultra Quality, which is a scale factor closer to 1.0 than the others, at around 77% input resolution.

Not to be outdone by an arbitrary non-standard, NVIDIA has added its own Ultra Quality mode. We’re surprised it didn’t decide to name it Super Quality or Ultra Extreme Quality, honestly, so maybe the industry has had a minor victory in agreeing on naming for once. Not that anyone has a problem with that.

The discovery of this new mode was made by Reinhardovich over on the nvidia subreddit, where the user spotted NVIDIA’s change noted in Unreal Engine 5’s DLSS documentation.

At this time, specific details about the scaling and support are unknown. DLSS version 2.2.9.0 should coincide with this roll-out.

Source: https://www.reddit.com/r/nvidia/comments/oaxdvp/interesting_tidbit_of_info_i_found_in_unreal/

09:38 - Broadcom, MediaTek, Marvell Support Nvidia’s Arm Buyout

There are at least a few Arm licensees that support the proposed Nvidia purchase of Arm. While there’s no shortage of licensees that oppose the deal (we recently spoke about Qualcomm, for instance), Broadcom, MediaTek, and Marvell all claim to approve of the deal. According to The Times, these companies have “broken rank” to support Nvidia as the regulatory process heats up in the UK. 

The report from The UK Times is paywalled, but it doesn’t seem there’s much in the way of statements or explanations for the newfound support for the $40B purchase of Arm. Meanwhile, the UK’s Secretary of State for Digital, Culture, Media, and Sport recently stepped in to block the deal on grounds of national security concerns, and the UK’s Competition and Markets Authority (CMA) is currently preparing a report on the potential anti-trust and national security implications of such a deal. That report is due out by the end of July, and will serve as a way to inform how the UK’s regulatory bodies approach the deal.

Additionally, the Nvidia-Arm deal has hit expected hurdles worldwide, including the US and China. As always, we’ll continue to watch these updates closely.

Source: Original, paywalled: https://www.thetimes.co.uk/article/nvidias-swoop-on-40bn-arm-wins-over-chip-titans-bl0xdlvmm

https://www.tomshardware.com/news/broadcom-mediatek-marvell-support-nvidia-arm-deal

11:33 - Intel Details Sapphire Rapids and Ponte Vecchio GPU at ISC 2021

Intel had a presence at the 2021 International Supercomputing Conference (ISC), where it announced details on its next generation of Xeon Scalable CPUs (Sapphire Rapids) and the Xe-HPC-based Ponte Vecchio GPU. 

Intel’s Sapphire Rapids is an increasingly important platform, and one that Intel powered on just over a year ago. Sapphire Rapids will be the platform for its biggest supercomputer win to date, which is the Aurora supercomputer, and will also be a vehicle for Intel to debut DDR5 support, PCIe 5.0, and CXL 1.1, among other technologies. Now, Intel has announced a new fold for Sapphire Rapids -- that there will be variants that come with on-board HBM memory. 

Intel’s Sapphire Rapids with HBM will of course be of particular interest to those in its HPC markets, and Intel was keen to quote several professionals from national laboratories, such as Argonne National Laboratory where Aurora will live, and Los Alamos National Labs, which is the home of the Crossroads supercomputer. 

Intel didn't specify the nature of how HBM will be paired with its Sapphire Rapids Xeons, or which type of HBM will be used. Intel did state that the CPUs could be used with or without system DRAM, though. In addition to HBM, Intel also officially confirmed its new AI acceleration engine that will appear with Sapphire Raids, Advanced Matrix Extensions (AMX).

Moving on to Ponte Vecchio, Intel announced a few new details. Intel’s Ponte Vecchio is based on Intel’s Xe-HPC silicon, and was successfully powered on earlier this year. Intel now states that Ponte Vecchio is currently in the process of system validation, and will arrive in an OCP Accelerator Module (OAM) form factor. Intel will also offer Ponte Vecchio in subsystems, offering either four or eight OAM packages. Of course, the first Ponte Vecchio silicon is destined for the Aurora supercomputer, but this is the first time Intel has detailed its plans for Ponte Vecchio outside of supercomputers.  

Source: https://www.intel.com/content/www/us/en/newsroom/news/new-intel-xpu-innovations-target-hpc-ai.html#gs.4hzb6i

13:54 - Windows 11: Big Oof

As expected, there’s been a deluge of Windows 11 news since Microsoft officially took the lid off of the new OS. Most notably, Microsoft’s CPU and TPM requirements stirred up no shortage of confusion and criticism. Initially, Microsoft stated that CPU support would begin with Intel’s 8th-gen CPUs and AMD’s Ryzen 2000-series. While that in itself doesn’t disqualify older CPUs (plenty of “unsupported” CPUs work fine with Windows 10), it’s no less disappointing to see Microsoft so hyper-focused on newer hardware.

In an effort to smooth over hardware concerns, Microsoft stated in a blog post that it was working to validate systems using Intel’s 7th-gen CPUs and Ryzen 1000-series CPUs. Of course, we’ll remind everyone that Intel’s 6th “gen” and 4th “gen” are basically the 7th gen CPUs, so they should also work fine.

Additionally, Microsoft received no shortage of criticism for its rather laughable PC Health Check App, which offered zero insight into why a machine was or wasn’t supported. In fact, the open-source WhyNotWin11 was much more useful. As such, Microsoft announced that it has pulled the app from downloads, citing the need to address user feedback and actually make the tool useful. 

“Based on the feedback so far, we acknowledge that it was not fully prepared to share the level of detail or accuracy you expected from us on why a Windows 10 PC doesn’t meet upgrade requirements,” said Microsoft. 

There was also some level of confusion around when Windows 11 would roll out. To be clear, Windows 11 is a free upgrade to current -- and eligible -- Windows 10 users, though those rollouts won’t happen until some point during the first half of 2022. Microsoft will begin rolling out certified Windows 11 devices in late 2021, around October, and notes that the rollout will take place across several months. 

Microsoft just released the first preview build of Windows 11 to Windows Insiders, where it expects to collect feedback in a number of areas, obviously. Microsoft noted that during this time, this feedback will inform its decision on the minimum hardware requirements going forward.    

Source: https://blogs.windows.com/windows-insider/2021/06/28/update-on-windows-11-minimum-system-requirements/

https://twitter.com/Windows/status/1408573495970254851

17:12 - TeamGroup’s First DDR5 Kit Listed at Retailers

TeamGroup is the first vendor out the door with available DDR5 modules, despite the dearth of CPUs and motherboards that support the emergent memory. TeamGroup’s Elite DDR5-4800 kit has surfaced with both listings on Amazon and Newegg, despite both retailers showing the kits as out of stock or unavailable. 

TeamGroup’s Elite DDR5-4800 kit is a 32GB (16x2) kit with two 16GB U-DIMM modules rated at 4800 MT/s. As expected, the DIMMs come with on-die ECC and an on-board PMIC. TeamGroup’s first DDR5 kit also has timings of CL40-40-40-77, a CAS latency of 40, and an operating voltage of 1.10V. 

Both retail listings show the kit priced at $311, and it seems they are expected to ship at some point in July. The first consumer platform to support DDR5 will be Intel’s Alder Lake, and while that platform is rumored for a late 2021 release, it will be a while before consumers actually have any use for DDR5 memory, and for DDR5 to scale beyond what the best DDR4 kits are capable of. 

Source: https://twitter.com/momomo_us/status/1409516577523834880

https://hothardware.com/news/teamgroups-first-ddr5-4800-32gb-memory-kits-are-available

19:07 - It Begins: Mining GPUs Surface for Sale in China

As a result of China’s continued crackdown on cryptocurrency, the wave of used mining GPUs is ready to hit the aftermarket. As reported by PC Gamer, screenshots posted to the Taiwanese bulletin board Pītītī Shíyè Fāng show a growing count of Nvidia and AMD GPUs for sale. The GPUs range from Nvidia’s RTX 30-series and AMD’s RX 6000-series to older cards, such as AMD’s Polaris-based cards -- which Ethereum miners have been especially fond of.

According to HKEPC, the used price for an RTX 3070 is about $3,120 HKD (Hong Kong Dollar), which works out to around ~$400 USD. Other cards are priced similarly; the RTX 3060 Ti is around ~$309, and the RTX 3060 is ~$244. However, the cards are also being sold in bulk, and they are also mining cards, which means they’ve likely been in service 24/7. It’s the bulk aspect that might be harder to deal with.

That said, it’s the latest of several developments that could mean GPU prices and availability are coming back down to earth. We recently reported that ASRock was optimistic about GPU price and stock, as it expects that AMD’s supply of GPUs will improve during the second quarter of 2021. ASRock also stated that component shortages, like substrates, were also expected to improve later this year.

Moreover, popular coins like Ethereum and Bitcoin continue to drop in profitability, and Ethereum is moving to a proof-of-stake model. By moving away from a proof-of-work model, the need for GPU horsepower should go way down. At least, that’s the idea, anyway.     

Source: https://www.pcgamer.com/cryptocurrency-miners-in-china-are-beginning-to-sell-off-gpus-for-cheap/

21:35 - Micron Will Sell Its 3D XPoint Fab to Texas Instruments 

Following the news that Micron was set to exit the 3D XPoint memory business, Micron announced that it would sell off its Lehi, Utah fab. Micron intended to have the fab sold by the end of 2021, although at that time, it disclosed no details on potential sale options. 

Micron recently announced that its 3D XPoint memory fab will be sold to Texas Instruments. Micron states that the economic value for the sale is $1.5B, with $900M coming from Texas Instruments for the purchase of the facilities, while the remaining $600M will come from secondary sales -- such as fab tooling and assets. 

Micron’s press release didn’t mention what TI is expected to do with the fab, other than deploy its own technologies. TI will also attempt to retain all of the fab’s existing employees once the transaction closes. In its own press release, TI stated that it will overhaul the fab for 65nm and 45nm production for analog and embedded processors. Once the purchase closes, this will be TI’s fourth 300-mm fab; TI currently has the DMOS6, RFAB1 and soon-to-be-completed RFAB2 wafer fabs.

Worthy of note is just how long Intel will be able to buy 3D XPoint memory from Micron, as the wafer agreement between the two parties expires at the end of 2021, and with this sale and Micron’s exit from the business, a new agreement will obviously not be possible. It seems Intel will have to set up its own lines for any products using Optane memory in the future.   

Source: https://investors.micron.com/news-releases/news-release-details/micron-sell-lehi-utah-fab-texas-instruments

23:20 - Russian Modder Continues to Bring Back Retro GPUs 

The same modder responsible for the resurrection of the 3DFX Vodoo 5 6000 is continuing to bring back the GPUs of yesteryear. The modder we speak of is Anthony Zxclxiv, and as documented by a YouTube video from Michael Dale, the latest creation is a combination of a 16MB Voodoo 3 3500 and a 4MB PowerVR PCX2. 

The design is a custom creation from Anthony, who has combined both of the aforementioned retro GPUs atop one (very good looking) black PCB. The Voodoo 3 3500 runs at 183 MHz, with access to 16MB of SDRAM via 8 SDRAM packages. The PCX2 runs at 66 MHz with 4MB of SDRAM. 

The board also features a passthrough port and circuitry to allow for another graphics card to be looped in, and the board also features a special jumper for overclocking the PXC2 to 80 MHz. Both GPUs make use of passive heatsinks, although it seems active cooling is recommended depending on the clock speeds. The board also uses a standard PCI slot, rather than a PCIe slot.   

Source: https://www.reddit.com/r/hardware/comments/o7i4i8/this_guy_in_russia_builds_crazy_awesome_custom/

https://www.techspot.com/news/90274-retro-graphics-cards-features-voodoo-3-powervr-gpu.html

25:21 - Samsung and Synopsys Collaborate On 3nm GAA Process

Samsung and Synopsys have announced that through their collaboration, Samsung has been able to achieve first-pass silicon for an SoC using Samsung’s 3GAA process. Samsung’s 3GAA process is based on what Samsung is calling 3nm, and uses GAAFETs, or gate all-around transistors. These types of transistors are largely the future for scaling silicon, and we’ve discussed them numerous times here. 

Neither company dives into the details regarding the GAA transistors being used, but Samsung has long been working on its proprietary multi-bridge-channel FET (MBCFET) transistor, which is based on nano-sheets. Like other GAAFETs, nano-sheets allow for tuning performance or power consumption by augmenting the nano-sheet width. 

“The GAA transistor structure marks a key inflection point in process-technology advancement that is critical in maintaining the scaling trajectories needed for the next wave of hyperscale innovation," says Synopsys. 

Synopsys is a silicon design company, focused on design, verification, IP, and software. Samsung has been using Syopsys’ Fusion Design Platform to enable its first tape out of 3GAA silicon. According to Synopsys, “Additional advanced-node challenges, including support for complex placement methodologies and floorplan rules, new routing rules and increased variability, have been seamlessly addressed through product advancements spanning the Synopsys Fusion Design Platform.”

In addition to this tape-out, Samsung’s customers will have access to Synopsys technology files through Samsung Foundry, so presumably Samsung Foundry clients will also have access to similar designs. 

Source: https://news.synopsys.com/2021-06-28-Synopsys-Strategic-Partnership-with-Samsung-Foundry-Accelerates-Access-to-Transformative-3nm-GAA-Technology

https://www.digitimes.com/news/a20210629PR202.html

Editorial: Eric Hamilton
Host: Steve Burke
Video: Keegan Gallick